TSMC

MForum.ru

TSMC

23.09.2019, MForum.ru


TSMC  --  Зарубежные участники рынка микроэлектроники  --  Микроэлектроника

Крупнейшая фаундри (не менее 12 заводов в Тайване, Китае и США)Входит в топ-3 производителей микроэлектроники в мире. 
Собственное производство пленки и фоторезистивных материалов. 

Предприятия:

  • Германия, Дрезден, 28 нм (планы начать строительство в 4q2024 и запустить производство в 2027 году, проект ведет дочка - ESMC)
  • Китай, Нанкин, 12нм и 16нм. 2024.05 Завод в Нанкине получил лицензию Минторга США на неопределенный срок. Лицензия позволяет обновлять оборудование TSMC на этом предприятиии. >200 тыс пластин 300 мм в год. 
  • США, Аризона, 4нм, план запуска - 2024 год. 
  • США, Аризона, 3нм 
  • США, Аризона, 2нм (общие инвестиции в 2 или 3 предприятия в Аризоне) - до $65 млрд, оценка мая 2024. План запуска - к 2030 году.   
  • Тайвань, Тайнан, 3нм, Южный Тайвань
  • Тайвань, Гаосюн, Fab 22, 2нм. 2024.05 Планируется начать массовое производство 2нм в 2025 году.  
  • Тайвань, Синьчжу (Баошань), Fab 20, 2нм (штаб-квартира). 2024.05 Планируется начать массовое производство 2нм в 2025 году. Планируется установить оборудование в апреле 2024 года, при этом ожидается, что пилотное производство начнется во второй половине 2024 года, а мелкосерийное производство - во втором квартале 2025 года. 
  • Тайвань, Таоюан, 1 нм (разработка), Северный Тайвань
  • Тайвань, Тайчжун (2024.05 строится завод для корпусирования CoWoS с планами запуска в 2025 году)
  • Тайвань, Цзяи (2024.05 строится завод для корпусирования CoWoS и SoIC с планами запуска в 2025 году) 
  • Тайвань, Цзяи, Тайбао. 2024.05 Производство 1нм планируется открыть в Научном парке города Тайбао, округ Цзяи в Центральном Тайване. TSMC планирует освоить узлы A14 (1,4 нм) в 2027 году и узлы A10 (1 нм) в 2030 году.
  • Япония, Кикуйо, Кумамото (Кюсю). 1 завод построен, еще  1 - сооружается, есть планы на 3-й. В частности, здесь производится полупроводники, которые используются в камерах смартфонов и в других продуктах Sony Group. Оценка стоимости первого проекта - порядка $7-8.8 млрд. Правительственные субсидии могут достигать половины стоимости проекта. Условия субсидирования предусматривают приоритет, который будет отдаваться внутрияпонским поставкам готовой продукции.  

 

Техпроцессы

1нм

2024.05 TSMC планирует освоить узлы A14 (1,4 нм) в 2027 году и узлы A10 (1 нм) в 2030 году. Производство 1нм планируется открыть в Научном парке города Тайбао, округ Цзяи в Центральном Тайване. / tg 

2022.11 Идет разработка техпроцесса 1нм в районе Лонгтан округа Таоюань на севере Тайваня. С планами инвестиций около $32 млрд.  

2нм

2024.05 Компания TSMC строит два завода под техпроцесс 2нм: Fab 20 P1 в Синьчжу, Баошань и Fab 22 в Гаосюне. Они планируют начать массовое производство в 2025 году. На заводе TSMC Fab20 P1 в Синьчжу, Баошань, планируется установить оборудование в апреле 2024 года, при этом ожидается, что пилотное производство начнется во второй половине 2024 года, а мелкосерийное производство - во втором квартале 2025 года. 

2023.06 Разработка 2нм процесса перенесена в городской округ Баошань. Здесь будет налажено производство по этому техпроцессу, а также в Тайчжуне на западе Тайваня. Опытные партии появятся до конца 2023 года, массовое серийное произодство чипов по технологии 2нм намечается на 2025 год. В разработке используют ИИ AutoMP от NVidia, которая на порядок ускоряет процессы оптимизации при проектировании кристаллов. С использованием ИИ в компании связывают также потенциально высокую энергоэффективность решений 2нм. 

2022.11 Идет разработка 2нм процесса в Синьчжу, где расположена штаб-квартира компании. 

2022.06.08 Через 3 года начнется выпуск чипов с узлами 2нм. Тайваньская TSMC объявила о планах инвестировать $34 млрд в расширение производства чипов с использованием узлов 2нм после получения соответствующего разрешения на аренду земли для размещения нового производства. Источник: technode.com ; tomshardware.com 

3нм 

2024.05 TSMC построит в 2024 году 7 новых фабов, что нарастит мощности производства по техпроцессу 3нм в 4 раза! В частности, планируется построить 5 заводов по производству пластин и 2 современных завода для корпусирования чипов. 

2022.11 TSMC планирует наладить производство чипов 3нм на заводе в штате Аризона, который планируют открыть в 2024 году. TSMC инвестирует $12 млрд в новую фабрику в Аризоне. Новая стройка планируется под Финиксом, невдалеке от фабрики, в которую TSMC начала инвестировать в 2020 году с планами запуска производства мощностью 20 тысяч пластин в месяц по технологии 5нм в 2024 году.Здесь тайваньская компания планирует внедрить технологию 3нм.  

В декабре 2019 года, по заявлению вице-президента TSMC Джей Кей Вон (JK Wang), массовый выпуск процессоров по нормам 3нм начнется в 2022 году, на год ранее, чем планировалось ранее (впрочем, соответствующие заявления уже звучали и ранее). Заказы на чипы 3нм на TSMC, как ожидается, первыми разместят Apple, Huawei и AMD. Источник: ixbt.com

Компания в 2018 году планировала начать строить завод в Nanke Park, Тайвань, для выпуска 3 нм чипов. Разрешение на строительство было выдано TSMC не сразу - ранее компания планировала приступить к его постройке еще в 2018 году. Разрешение сопровождается рядом обременений, в частности, предприятию предписано использование не менее 20% электроэнергии из возобновляемых источников. Сделать это будет непросто, поскольку на заводе планируется установить от 10 до 20 EUV сканеров, а каждая такая установка потребляет в сутки до 30 мВт электроэнергии. Также предприятие должно обеспечивать повторное использование не менее 50% от суточного потребления воды, которое оценивается в 75 тысяч тонн. Инвестиции в проект оцениваются на уровне US$19,45 млрд. Строительство линий под 3нм планировалось начать в 2020 году. Продукцию на базе процесса 3 нм планировалось начать выпускать в конце 2022 года - начале 2023 года. 

4нм

2022.12 Вместо ранее планируемого производства чипов 5нм в Аризоне, TSMC запускает производство 4нм, ожидаемая мощность составит 20 тысяч пластин в месяц. 

5нм

2022.11 В декабре 2022 года ожидается официальная церемония открытия завода TSMC 5нм в Аризоне.

2020.01.14 Судя по всему, у TSMC получается работать с техпроцессом по нормам 5нм. В первой партии чипов Apple A14 процент выхода годных изделий оказался выше 80%, что позволяет надеяться на успешный запуск массового производства в 2q2020. 
По-сравнению с процессом 7нм, переход на 5нм позволил TSMC нарастить плотность размещения транзисторов в 1.8 раз, что дает рост скорости работы с данными на 15% и снижение потребления энергии на 30%. Процесс построен на использовании EUV-литографии и длится дольше, чем процесс 7нм.
Пока что компания намерена выпускать для Apple по 51 тысяче пластин 300 мм в месяц, затем, по мере добавления заказов Qualcomm, HiSilicon и других компаний, объемы производства вырастут до 80 тыс. пластин в месяц. Источник: ixbt.com

Продукцию на базе процесса 5 нм планируется выпустить в массовую серию в 2q2020 году, среди крупнейших заказчиков будет AMD. В 2018 году завод 5 нм строится в Nanke Park, Тайвань, планы запуска производства - в конце 2019 - начале 2020 года. $2.5 млрд вложат в процесс 5нм в 2019 году. В TSMC ожидают, что инвестиции в 5нм окупятся быстрее, чем это было с N7. Техпроцесс будет опираться на EUV на 14 уровнях. 

6 нм (N6)

2019.10.08 Компания TSMC планирурует освоить техпроцесс 6нм (N6) к концу 2020 года. Он будет "промежуточным" по отношению к 5нм с которым в компании связывают большие объемы выпуска изделий. / overclockers.ua 

7нм (N7) и 7нм+ (N7+)

2019.02.13 TSMC начнет исполнять коммерческое заказы на производство продуктов по процессу 7нм на базе EUV в конце марта 2019 года. Компания еще не получила и не развернула 18 зарезервированых у производителя ASML сканеров (всего в 2019 году будет выпущено 30 таких установок). Выпуск кристаллов по 7нм техпроцессу (без EUV) начался в 2q2018. В 2018 году доля пластин с чипами 7нм составляла 9%, в 2019 году ожидается рост до 25%. Нормы 7нм+ обеспечивают на 15-20% большую плотность размещения транзиторов и оптимизированное энергопотребление по-сравнению с техпроцессом 7нм первого поколения.  / overclocers.ru 

12нм

Производство на Fab 16b.

16нм

производит ASIC-чипы по 16-нм FinFET процессу в интересах Bitmain в Китае, в Нанкине
производит для AMD, NVDIA TSMC Fab 6 (Синьчжу), Тайвань TSMC Fab 10,
Китай 200 мм TSMC Fab 16b Крупнейший фаб TSMC. Занимается выпуском чипов по 12 нм и 16 нм процессам для NVIDIA, Huawei и Mediatek. 

 

Финансы

Доходы компании в 1q2018 - $8.473 млрд (+13% гг), второе место на мировом рынке. Выручка в 1H2018 +12%, выручка в апреле-июне предварительно $7.85 млрд. В 1q2018 - $8.46 млрд. Соответственно выручка за 1H2018 - $16.3 млрд. В 1H2017 - $14.6 млрд. Высокая выручка частично объясняется высоким спросом со стороны майнеров.

 

Новости

2024.05 TSMC построит в 2024 году 7 новых фабов, что нарастит мощности производства по техпроцессу 3нм в 4 раза! Об этих планах объявил исполнительный директор компании Хуан Юаньго. В частности, планируется построить 5 заводов по производству пластин и 2 современных завода для корпусирования чипов. Кроме того, компания строит два завода под техпроцесс 2нм: Fab 20 в Синьчжу и Fab 22 в Гаосюне. Они планируют начать массовое производство в 2025 году. Ранее стало известно о планах ESMC, дочерней компании TSMC построить завод в Дрездене, Германия, начиная с 4q2024 с планами запуска в 2027 году. Заводы для корпусирования строятся в Тайчжуне (CoWoS) с планами запуска в 2025 году и в Цзяи (CoWoS и SoIC) с планами запуска в 2026 году. Несмотря на планы 4-кратного наращивания производственных мощностей 3нм, в компании уверены, что не смогут удовлетворить потребности всех клиентов, обращающихся в TSMC с заказами. В целом компания TSMC наращивает производственные мощности средними темпами примерно в 25% с 2020 по 2024 год. / inspire2rise.com  

2024.05.17 TSMC в ближайшие 5 лет нарастит объемы выпуска продуктов по зрелым технологиям на 50%. В этой новости 2 нюанса. Во первых, речь не идет о "просто зрелых" технологиях, TSMC и ранее занималась выпуском специализированных чипов в которых компания старалась поддерживать баланс низкого энергопотребления и умеренной себестоимости. Но если раньше для этого компания использовала свои когда-то передовые производства, которые к этому времени устарели, то сейчас впервые компания собирается построить фабы под зрелые технологии. \  3dnews.ru  

2024.05.17 Вдобавок к серийному производству по техпроцессу N3E с 4q2023, TSMC в 2024 году запустит массовое производство по N3P. Этот процесс не требует новой технологической оснастки и средств проектирования, но обещает еще более повысить процент выхода годных, который был неплох и у N3E (такой же как у N5 по показателю плотности дефектов D0).
N3E создан как развитие N3B, но с сокращенным числом уровней EUV и без двойного экспонирования - это снизило себестоимость ценой небольшого снижения плотности размещения узлов. 
N3P позволяет разработчика либо на 4% нарастить производительность, не повышая токи утечки, либо снизить энергопотребление на 9%, не снижая тактовую частоту. N3P также позволяет на 4% выиграть в плотности размещения узлов на чипе (для смешанных чипов с логикой, SRAM и аналоговой периферией). Ожидается массовая востребованность N3P уже к концу 2024 года. / 3dnews.ru  

2024.05 TSMC объявил о планах запустить в 4q2024  строительство своего первого завода по производству полупроводниковых компонентов в Европе, в Дрездене. Инвестиции в проект European Semiconductor Manufacturing Co (ESMC) - $10 - 11 млрд, начало производства чипов - с 2027 года. Возможно проект поддержат субсидии ЕС и Германии. Это будет СП с 10% долей местных производителей: Infineon, NXP и Robert Bosch. Техпроцесс 22нм, чипы - для автопрома. Завод станет первым в Европе, но, возможно, не единственным - заявил Кевин Чжан, вице-президент TSMC.  / 3dnews.ru  

2024.05 В TSMC продолжают настаивать на том, что освоят техпроцесс A16 без использования машин ASML EUV High-NA, "слишком дорогих" ($380 млн). Сейчас в мире есть три машины High-NA - одна у Intel, в исследовательском центре в Орегоне, вторая у ASML - она ее тестирует, третью должна получить бельгийская Imec (партнер японского предприятия Rapidus). В случае снижения цен или просто позднее в TSMC готовы вернуться к переговорам с ASML о закупке этих сканеров. Для ASML это болезненная ситуация - TSMC традиционно выступала крупнейшим заказчиком. С другой стороны, по слухам Intel уже выкупила весь объем первой партии машин High-NA, что дает ASML возможность не спешить прогибаться в переговорах с TSMC. / 3dnews.ru  

2022.11.30 TSMC снизит инвестиции в 2022 году на $4 млрд (-10%). Такое решение компании связано со снижением спроса на чипы, что, как ожидают в TSMC снизит уровень загрузки производства компании до 80% в 1H2023. Снижение загрузки линий приводит к росту себестоимости продукции, что либо увеличит сроки окупаемости капиталовложений, либо потребует корректировки цен на ее продукцию в сторону увеличения. / overclockers.ru 

2022.11.25 TSMC движется к техпроцессу 1нм. Компания TSMC, крупнейший в мире контрактный производитель микросхем, готовится приступить к строительству своего первого завода по производству полупроводников по техпроцессу 1нм.
Предполагается, что для реализации своих планов TSMC инвестирует около $32 млрд в район Лонгтан округа Таоюань, Тайвань. Кроме того, согласно отраслевым источникам, передовой производитель чипов готовится поднять цены на 3нм пластины на 25% относительно цены пластин 5нм.
Интересно, что планы TSMC по созданию фаба 1нм анонсировала не компания, а вице-президент правительства. В Тайване в конце недели пройдут выборы, понятно, что политики хотят, чтобы жители знали о предстоящих значительных инвестициях и десятках тысяч хорошо оплачиваемых рабочих мест.
Инвестиции в фаб с учетом недавно объявленных государственных субсидий, составят примерно $32 млрд. Это на 20% больше, чем TSMC инвестировала в строительство своего завода 2нм, а также приведет как увеличению числа рабочих мест также на 20%, сообщает Economic Daily. Не обязательно, что все эти средства пойдут в предприятие 1нм, возможно речь идет также о расширении других производственных линий. Производство 1нм TSMC планируется запустить во второй половине десятилетия.
Это приятные для отрасли новости, но есть и тревожная часть - пластины 3нм, произведенные TSMC, поднимутся в цене выше $20 тыс. Если сравнивать с другими технологиями, то по слухам пластины 5нм на которых выпускаются процессоры AMD Ryzen серии 7000 стоят $16 тысяч каждая, а 7нм пластины - $10 тыcяч каждая.
Это повышение цен, несомненно, будет переложено на плечи покупателей и потребителей. Сгладить его может только конкуренция со стороны Samsung и Intel.

2020.01.20 TSMC в 2020 году планирует инвестировать в освоение новых техпроцессов и расширение производственных мощностей на менее $15-16 млрд. Примерно 80% этих средств "достанутся" технологиям от 7нм и более тонким.  

2020.01.16 США усилили давление на TSMC, высказывая пожелания о переносе производства чипов военного назначения для американских заказчиков непосредственно в США, чтобы гарантировать отсутствие потенциального вмешательства Китая в выпуск защищенных компонентов. TSMC, в частности, выпускает чипы для Xilinx, которая производит компоненты, например, для истрибителей F-35 и спутников. Для TSMC такой шаг навстречу американцем не является чем-то принципиально невозможным, но компания не торопится с решением, ссылаясь на то, что в США высокие эксплуатационные расходы и нужно "все взвесить". Скорее всего, стороны в итоге договорятся на взаимно устраивающих условиях.   3dnews.ru 

2020.01.14 Судя по всему, у TSMC получается работать с техпроцессом по нормам 5нм. В первой партии чипов Apple A14 процент выхода годных изделий оказался выше 80%, что позволяет надеяться на успешный запуск массового производства в 2q2020. 
По-сравнению с процессом 7нм, переход на 5нм позволил TSMC нарастить плотность размещения транзисторов в 1.8 раз, что дает рост скорости работы с данными на 15% и снижение потребления энергии на 30%. Процесс построен на использовании EUV-литографии и длится дольше, чем процесс 7нм.
Пока что компания намерена выпускать для Apple по 51 тысяче пластин 300 мм в месяц, затем, по мере добавления заказов Qualcomm, HiSilicon и других компаний, объемы производства вырастут до 80 тыс. пластин в месяц. Источник: ixbt.com

2020.01.04 TSMC прогнозирует рост доходов, минимум, на 15% в 2020 году, в основном из-за устойчивого спроса на изделия по технологии 7нм, а также за счет продаж изделий, которые компания будут выпускать по процессу 5нм. Источник: digitimes.com 

2019.12.07 TSMC готовится перейти на 3нм на год раньше обещанного ранее срока. По заявлению вице-президента TSMC Джей Кей Вон (JK Wang), массовый выпуск процессоров по нормам 3нм начнется в 2022 году, на год ранее, чем планировалось ранее (впрочем, соответствующие заявления уже звучали и ранее). Заказы на чипы 3нм на TSMC, как ожидается, первыми разместят Apple, Huawei и AMD.
Под сомнением остается возможность появления в этом числе и компании Qualcomm, где могут вновь проявить (здоровый?) консерватизм и предпочесть отработанные технологии возможности быть в первых рядах компаний, осваивающих новые технологии. Источник: ixbt.com
Массовое производство чипов по техпроцессу 5нм TSMC начнет уже в 2020 году. Об этом также сообщалось ранее. Среди заказчиков - AMD и еще два крупных заказчика.

2019.10 В TSMC планируют нарастить капитальные инвестиции на 2019 год с $11 млрд до $15 млрд. Из них - $1.5 млрд будет выделено на расширение 7нм линий, а $2.5 млрд вложат в подготовку к массовому выпуску изделий по процессу 5нм, начало которого запланировано на 2q2020. Ожидается дальнейший рост инвестиций в 2020 году. В TSMC ожидают, что инвестции в 5нм окупятся быстрее, чем это было с N7. Техпроцесс будет опираться на EUV на 14 уровнях.  /  overclockers.ua со ссылкой на Anandtech. 

2019.02.13 TSMC начнет исполнять коммерческое заказы на производство продуктов по процессу 7 нм на базе EUV в конце марта 2019 года. Компания еще не получила и не развернула 18 зарезервированых у производителя ASML сканеров (всего в 2019 году будет выпущено 30 таких установок). Сканеры будут использоваться также для выпуска чипов 5 нм. Производство по этому процессу начнется в 1H2020. Выпуск кристаллов по 7нм техпроцессу (без EUV) начался в 2q2018. В 2018 году доля пластин с чипами 7 нм составляла 9%, в 2019 году ожидается рост до 25%. / overclocers.ru 

2011.09.17 В отношении процессоров Apple A6 (и, возможно, Apple A7), по слухам, в Apple принято решение передать контракт на их производство в TSMC, в связи с юридическими спорами Apple и Samsung (Samsung выпускал процессоры A4 и A5). На сентябрь 2011 года окончательное решение Apple по данному вопросу не известно. Суммарный объем выпуска в 2011 году оценивается, как эквивалент 13.6 млн 8" пластин. Доходы компании по итогам 2010 года достигли US$13.3 млрд.     

 

Подписывайтесь на Telegram-канал, посвященный микроэлектронике

Подпишитесь на Facebook-страницу RUSmicro

----

© Алексей Бойко, MForum.ru


Публикации по теме:

29.05. [Новинки] Слухи: Раскрыты ключевые характеристики MediaTek Dimensity 9400 информатором / MForum.ru

11.05. [Новости компаний] Микроэлектроника: Intel, возможно, получит все High-NA EUV сканеры, произведенные ASML в 2023-2024 году / MForum.ru

01.05. [Новости компаний] Микроэлектроника: Процессоры Huawei / SMIC пока что не демонстрируют ожидаемых прорывов в техпроцессах или производительности / MForum.ru

23.04. [Новости компаний] США - рынок микроэлектроники / MForum.ru

22.04. [Новости компаний] Южная Корея - микроэлектроника / MForum.ru

Обсуждение (открыть в отдельном окне)

27.10.2019 18:11 От: ABloud

Техпроцессы. 5нм. Продукцию на базе процесса 5нм планируется выпустить в массовую серию в 2q2020 году. В 2018 году завод 5нм строился в Nanke Park, Тайвань, планы запуска производства - в конце 2019 - начале 2020 года.

В подготовку к выпуску техпроцесса 5нм планируется вложить $2.5 млрд в 2019 году. В TSMC ожидают, что инвестиции в 5нм окупятся быстрее, чем это было с N7.

Техпроцесс 5нм будет опираться на EUV на 14 уровнях. https://www.overclockers.ua/...

07.12.2019 10:13 От: ABloud

Техпроцессы | 3нм. TSMC готовится перейти на 3нм на год раньше обещанного ранее срока

По заявлению вице-президента TSMC Джей Кей Вон (JK Wang), массовый выпуск процессоров по нормам 3нм начнется в 2022 году, на год ранее, чем планировалось ранее (впрочем, соответствующие заявления уже звучали и ранее). Заказы на чипы 3нм на TSMC, как ожидается, первыми разместят Apple, Huawei и AMD.

Под сомнением остается возможность появления в этом числе и компании Qualcomm, где могут вновь проявить (здоровый?) консерватизм и предпочесть отработанные технологии возможности быть в первых рядах компаний, осваивающих новые технологии.

Источник: ixbt.com

Массовое производство чипов по техпроцессу 5нм TSMC начнет уже в 2020 году. Об этом также сообщалось ранее. Среди заказчиков - AMD и еще два крупных заказчика.

На этом фоне отставание Intel с ее 14нм и ее неспешными попытками перейти на 10нм выглядит настоящим застоем и результатом стратегических ошибок менеджмента, которые привели к потерям заказов американских разработчиков микросхем в пользу Samsung и TSMC и позволили последним существенно вырасти на этих заказах. Теперь долгосрочные перспективы лидерства Intel выглядят уже далеко не столь очевидными, как это было ранее.

14.01.2020 09:13 От: ABloud

Судя по всему, у TSMC получается работать с техпроцессом по нормам 5нм. В первой партии чипов Apple A14 процент выхода годных изделий оказался выше 80%, что позволяет надеяться на успешный запуск массового производства в 2q2020.

По-сравнению с процессом 7нм, переход на 5нм позволил TSMC нарастить плотность размещения транзисторов в 1.8 раз, что дает рост скорости работы с данными на 15% и снижение потребления энергии на 30%. Процесс построен на использовании EUV-литографии и длится дольше, чем процесс 7нм.

Пока что компания намерена выпускать для Apple по 51 тысяче пластин 300 мм в месяц, затем, по мере добавления заказов Qualcomm, HiSilicon и других компаний, объемы производства вырастут до 80 тыс. пластин в месяц. Источник: ixbt.com

17.01.2022 12:48 От: ABloud

[Производство микроэлектроники. Участники рынка]

TSMC провела отчетное мероприятие. Из наиболее интересного.
- Компания в 2021 году набрала предоплату за будущие поставки - на $6.7 млрд, спасибо дефициту. И собирается эту практику продолжать.
- Компания не собирается снижать капзатраты, напротив, они будут расти, привет небогатым экономикам, встающим с колен.
- Компания ждет роста выручки мирового рынка контрактного производства по итогам 2022 года на 20%, а своей выручки - на 25-29%.

Максимум спроса ожидается от сегмента высокопроизводительных вычислений. Впрочем, в 2022 году хороший вклад обеспечит и автопром.

Источник: 3dnews.ru

08.06.2022 11:48 От: ABloud

[Производство микроэлектроники. TSMC. 2нм]

Через 3 года начнется выпуск чипов с узлами 2нм

Тайваньская TSMC объявила о планах инвестировать $34 млрд в расширение производства чипов с использованием узлов 2нм после получения соответствующего разрешения на аренду земли для размещения нового производства.

Ранее TSMC заявляла о планах начать массовый выпуск изделий с использованием узлов 2нм к 2025 году.

А в этом году, со слов гендиректора TSMC Вэй Чжэцзя (Wei Zhejia), стало известно о планах TSMC запустить массовый выпуск изделий с использованием узлов 3нм во второй половине 2022 года.

Заказы на чипы 3нм на TSMC, как ожидается, первыми разместят Apple, Huawei и AMD.

Источник: technode.com


Новое сообщение:
Complete in 77 ms, lookup=1 ms, find=76 ms

Последние сообщения в форумах

Все форумы »



Поиск по сайту:

Подписка:

Подписаться
Отписаться


Новости

22.07. [Новинки] Анонсы: Представлен складной смартфон Xiaomi Mix Flip с большим экраном и АКБ 4780 мАч / MForum.ru

19.07. [Новинки] Анонсы: HMD Skyline отличается потрясающим дизайном и простотой ремонта / MForum.ru

19.07. [Новинки] Анонсы: Представлен Oppo Reno12 F 4G со Snapdragon 685 и 8 ГБ ОЗУ / MForum.ru

18.07. [Новинки] Слухи: Смартфоны Honor Magic 7 получат 200 Мп телефото- камеру и тандемный OLED-экран / MForum.ru

18.07. [Новинки] Анонсы: Red Magic 9S Pro выходит на мировой рынок / MForum.ru

17.07. [Новинки] Анонсы: OnePlus Nord 4 получил цельнометаллический корпус уникального дизайна / MForum.ru

17.07. [Новинки] Анонсы: Представлен OnePlus Pad 2 с SD 8 Gen 3 и АКБ 9510 мАч / MForum.ru

16.07. [Новинки] Анонсы: Letv S3 Pro представлен официально / MForum.ru

16.07. [Новинки] Анонсы: Meizu Blue 20 AI – первый смартфон Meizu на базе искусственного интеллекта / MForum.ru

15.07. [Новинки] Анонсы: В Индии представлен iQOO Z9 Lite 5G на базе Dimensity 6300 и обещанием обновления до трех лет / MForum.ru

15.07. [Новинки] Анонсы: Vivo Y37 и Y37m представлены официально / MForum.ru

12.07. [Новинки] Анонсы: Представлены дешевые наушники iQOO TWS 1i с режимом низкой задержки / MForum.ru

12.07. [Новинки] Анонсы: Представлены iQOO Watch GT с 1,85-дюймовым дисплеем и Blue OS / MForum.ru

12.07. [Новинки] Анонсы: iQOO Neo9S Pro+ дебютирует с SD 8 Gen 3 и аккумулятором емкостью 5500 мАч / MForum.ru

11.07. [Новинки] Анонсы: Tecno Spark 20 Pro 5G появился в Индии / MForum.ru

11.07. [Новинки] Анонсы: Представлен Lava Blaze X с Dimensity 6300, Android 14 и АКБ 5000 мАч / MForum.ru

10.07. [Новинки] Анонсы: Redmi 13 5G на базе Snapdragon 4 Gen 2 AE и 108 Мп камерой представлен официально / MForum.ru

09.07. [Новинки] Анонсы: Наушники CMF Buds Pro 2 представлены официально / MForum.ru

09.07. [Новинки] Анонсы: CMF Watch Pro 2 – большое обновление доступных смарт-часов от Nothing / MForum.ru

09.07. [Новинки] Анонсы: Nothing CMF Phone 1 представлен официально / MForum.ru